CAN通信协议(一)

目录

前言

因为工作,需要研究CAN总线。博主的CAN学习参考正点原子和野火的教程。虽然没有买板子,不过对于博主现在来说,感觉开发板都差不多吧!毕竟工作中开发板肯定是不一样的!

CAN基础知识介绍

CANController Area Network(控制器局域网络)的缩写,是ISO国际标准化组织的串行通信协议。由德国电气商博世公司在1986 年率先提出。此后,CAN 通过ISO11898ISO11519 进行了标准化。现在在欧洲已是汽车网络的标准协议
CAN协议经过ISO标准化后有两个标准:ISO11898标准和ISO11519-2标准。其中ISO11898是针对通信速率为125Kbps~1Mbps高速通信标准,而ISO11519-2是针对通信速率为125Kbps以下的低速通信标准
CAN具有很高的可靠性和良好的错误检测能力,广泛应用于汽车计算机控制系统和环境温度恶劣/电磁辐射强及振动大的工业环境。
CAN主要用在两个设备之间的通讯。

CAN的特点

  1. 多主控制。总线空闲时,所有单元都可发送消息,而两个以上的单元同时发送
  • 76
    点赞
  • 576
    收藏
    觉得还不错? 一键收藏
  • 18
    评论
FPGA(Field Programmable Gate Array)是一种可编程逻辑设备,可以在硬件级别上定义和重新配置数字逻辑电路。CAN(Controller Area Network)是一种常用的网络通信协议,广泛应用于汽车和工业领域。 FPGA实现CAN通信协议的过程主要分为两个步骤:硬件设计和软件开发。 在硬件设计方面,首先需要将CAN协议的控制器部分实现在FPGA中。控制器负责管理发送和接收CAN消息的过程。它包括了CAN协议的帧解析、错误检测和错误处理等功能。这些功能需要通过FPGA的逻辑电路来实现。根据CAN协议的规范,可以使用状态机设计来描述控制器的不同工作状态,并通过逻辑电路来实现状态转换和相关功能逻辑。 在软件开发方面,需要编写控制器的驱动程序。驱动程序可以通过FPGA的配置界面进行编程,与硬件部分进行通信,控制CAN消息的发送和接收。驱动程序还需提供CAN消息的解析和打包的功能,以及与其他设备(如处理器或外部存储器)进行数据传输的接口。 在实现CAN通信协议时,还需要考虑到数据的实时性和可靠性。数据的实时性是指在CAN总线上传输的数据时序要符合CAN协议要求,以确保数据的及时性和准确性。数据的可靠性则是指要进行错误检测和纠正,以防止数据传输过程中的错误。 总之,FPGA实现CAN通信协议涉及硬件和软件两个方面的设计和开发工作。通过将CAN协议的控制器部分实现在FPGA中,以及编写相关的驱动程序,可以实现高性能、可靠的CAN通信功能。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 18
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值