优先编码器

一般编码器只允许一个输入信号为有效电平,优先编码器在同一时刻允许多个输入变量为有效电平,而电路只对优先级别最高的信号进行编码。如下是74LS148优先编码器的方框图:在这里插入图片描述注: Y S ‾ \overline{Y_S} YS为选通输出端,低电平有效。表示电路工作,但没有编码输入。
Y E X ‾ \overline{Y_{EX}} YEX为扩展端,低电平有效。表示电路工作,且有编码输入。

  • 5
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值